aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1046/tb_concat01.vhdl
blob: 1c6f25cc2096ecd2c1ad362be028100cc1d13709 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_concat01 is
end tb_concat01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_concat01 is
  signal a : std_logic_vector(15 downto 0);
begin
  dut: entity work.concat01
    port map (a);

  process
  begin
    wait for 1 ns;
    assert a = x"ab9e" severity failure;

    wait;
  end process;
end behav;