aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1046/concat01.vhdl
blob: 12f817d8d1d187cb8f99ae537c39e7ea9eef0bcd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity concat01 is
  generic (a : std_logic_vector (7 downto 0) := x"ab";
           b : std_logic_vector (7 downto 0) := x"9e");
  port (res : out std_logic_vector (15 downto 0));
end concat01;

architecture behav of concat01 is
  constant c : std_logic_vector := a & b;
begin
  res <= c;
end behav;