aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1043/ent.vhdl
blob: 0d0b83ea2369d50a0ea6aaa5ce415013713f4ee3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity ent is
	generic (
		g : natural := 8
	);
	port (
		o1 : out std_logic;
		o2 : out std_logic
	);
end;

architecture a of ent is
	constant x : real := real(g);

	constant a : natural := g;
	constant y : real := real(a);
begin
	o1 <= '1' when integer(x) = 8 else '0';
	o2 <= '1' when integer(y) = 8 else '0';
end;