aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1041/ent.vhdl
blob: d6b40a1f8a7e60e510d45aa90d7f7e2869e3a746 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
library ieee;
use ieee.std_logic_1164.all;

entity ent is
	port (
		reset : in std_logic;
		clk : in std_logic
	);
end ent;

architecture rtl of ent is
	function const return natural is
	begin
		return 1;
	end const;

	constant MAX_COUNT : natural := const;
	signal countdown : natural;

	signal x : std_logic;
	signal y : std_logic;
begin
	x <= '1';
	y <= '1';

	process(reset, clk)
	begin
		if reset then
			countdown <= MAX_COUNT;
		elsif rising_edge(clk) then
			if x then
				if y then
					countdown <= MAX_COUNT;
				end if;
			end if;
		end if;
	end process;
end rtl;