aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1035/ent.vhdl
blob: 9c8eb0b4ea84f2b2a23af3510d6b42a2e73cd4ad (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity ent is
end ent;

architecture a of ent is
	constant c : std_logic_vector(7 downto 0) := x"00";
begin
	process(all)
	begin
		case c is
			when others =>
		end case;
	end process;
end a;