aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1032/syn_ent.vhdl
blob: 3cec16531918b89dc71eb49c284e266887b0dc7a (plain)
1
2
3
4
5
6
7
8
9
10
entity ent is
end ent;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture rtl of ent is
begin
end rtl;