aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1025/tb_ent.vhdl
blob: 30ead51663a15d2c4dba8c6fd9c01fa9555269a6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal clk : std_logic;
  signal counter : natural;
  signal rst : std_logic;
begin
  dut: entity work.ent
    port map (
      rst => rst,
      clk => clk,
      counter => counter);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    rst <= '1';
    pulse;
    assert counter = 0 severity failure;
    rst <= '0';
    pulse;
    assert counter = 1 severity failure;
    pulse;
    assert counter = 2 severity failure;
    pulse;
    assert counter = 3 severity failure;
    wait;
  end process;
end behav;