blob: 0e87508077413a6ec39be67e74575b4086916052 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
entity test is
generic (
BITS : positive := 2
);
end entity test;
architecture rtl of test is
constant count : positive := 2 ** BITS - 1;
subtype node_t is integer range 0 to count;
begin
end;
|