blob: fa515cd7d5624552d1c2cd90a2cd803a8d4c455c (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
library ieee;
use ieee.std_logic_1164.all;
entity if01 is
port (a : std_logic;
b : std_logic;
sel : std_logic;
s : out std_logic);
end if01;
architecture behav of if01 is
begin
s <= a when sel = '0'
else b when sel = '1';
end behav;
|