aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/iassoc04.vhdl
blob: bddaa94a8ace20137f273d0a42a3ee034c63b02b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity riassoc04 is
  port (v : bit_vector (7 downto 0);
        res : out bit);
end riassoc04;

architecture behav of riassoc04 is
begin
  res <= v(0) or v(4);
end behav;

entity iassoc04 is
  port (a, b : bit_vector (3 downto 0);
        res : out bit);
end iassoc04;

architecture behav of iassoc04 is
begin
  inst : entity work.riassoc04
    port map (v (7 downto 4) => a, v (3 downto 0) => b, res => res);
end behav;