aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/iassoc02.vhdl
blob: 69064375ab8da18a8f8f15b287a8e25bac71507b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
use work.pkg.all;

entity riassoc02 is
  port (v : natural;
        res : out nat_rec);
end riassoc02;

architecture behav of riassoc02 is
begin
  res.a <= v + 1;
  res.b <= v + 2;
end behav;

entity iassoc02 is
  port (v : natural;
        a, b : out natural);
end iassoc02;

architecture behav of iassoc02 is
begin
  inst : entity work.riassoc02
    port map (v => v, res.a => a, res.b => b);
end behav;