aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/gen01/dly3.vhdl
blob: 2c5f199cffadb5b05e680d9359cec9232d6bbae5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity gen_delay is
  generic (type T);

  port (i : in T;
        o : out T);
end gen_delay;

architecture arch of gen_delay is
begin
  o <= i;
end arch;

entity dly3 is
  port (i : in bit_vector(7 downto 0);
        o : out bit_vector(7 downto 0));
end dly3;

architecture struct of dly3 is
  subtype t_vect is bit_vector(7 downto 0);
begin
  inst: entity work.gen_delay
    generic map (T => t_vect)
    port map (i => i, o => o);
end struct;