blob: 67d203907f962aabe4b815a584d4dcdd614cfabe (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
|
library ieee;
use ieee.std_logic_1164.all;
entity func01 is
generic (l : natural := 3);
port (a : std_logic_vector (7 downto 0);
sel : std_logic;
b : out std_logic_vector (7 downto 0));
end func01;
architecture behav of func01 is
function gen_mask (len : natural) return std_logic_vector is
variable res : std_logic_vector (7 downto 0);
begin
res := (others => '0');
res (len downto 0) := (others => '1');
return res;
end gen_mask;
begin
process (a, sel)
begin
if sel = '1' then
b <= a and gen_mask (l);
else
b <= a;
end if;
end process;
end behav;
|