aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispvhdl01/vhd02.vhdl
blob: ddee5c316d71a0b69d58e801a81b3c1c48d51f4d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.pkg.all;

entity vhd02 is
  port (i1 : my_rec;
        o1 : out my_rec);
end vhd02;

architecture behav of vhd02 is
begin
  o1 <= i1;
end behav;