aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispvhdl01/vhd01.vhdl
blob: 89b3cc3a2ba8e66b1cc2dbf99df0df078da6c430 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.pkg.all;

entity vhd01 is
  port (i1 : std_logic_vector (1 to 1);
        o1 : out std_logic_vector (1 to 1));
end vhd01;

architecture behav of vhd01 is
begin
  o1 <= i1;
end behav;