aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispvhdl01/pkg.vhdl
blob: c61ef3bce4a252de7846ad210a6bd0adbbb5615e (plain)
1
2
3
4
5
6
7
8
library ieee;
use ieee.std_logic_1164.all;

package pkg is
  type my_rec is record
     b : std_logic;
  end record;
end pkg;