aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec10.vhdl
blob: 2e53bac22b012216f1507446946c85993771e7b5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.rec10_pkg.all;

entity rec10 is
  port (inp : std_logic;
        o : out myrec);
end rec10;

architecture behav of rec10 is
begin
  o.b (1) <= not inp;
end behav;