aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec09.vhdl
blob: 948adcb9b017883b54ae62b19a8b14dc6a3ce8c0 (plain)
1
2
3
4
5
6
7
8
library ieee;
use ieee.std_logic_1164.all;

package rec09_pkg is
  type myrec is record
     b : std_logic;
  end record;
end rec09_pkg;