aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/rec05.vhdl
blob: c9029fbb223f8217cc7d9e861fcce3a793977dca (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.rec05_pkg.all;

entity rec05 is
  port (inp : myrec;
        o : out std_logic);
end rec05;

architecture behav of rec05 is
begin
  o <= '1' when inp.a (1) = inp.b else '0';
end behav;