aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff05/dff02.vhdl
blob: 09d10e29c88ae9e9c8d184a00c0122a8ffcb521c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity dff02 is
  port (q : out std_logic;
        d : std_logic;
        clk : std_logic;
        rst : std_logic);
end dff02;

architecture behav of dff02 is
begin
  q <= '0' when rst = '1' else d when rising_edge (clk);
end behav;