aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff03/tb_dff01.vhdl
blob: 85d95f47bf006de8f042a3d365254f3ac934e990 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
entity tb_dff01 is
end tb_dff01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_dff01 is
  signal clk : std_logic;
  signal en1 : std_logic;
  signal en2 : std_logic;
  signal din : std_logic;
  signal dout : std_logic;
begin
  dut: entity work.dff01
    port map (
      q => dout,
      d => din,
      en1 => en1,
      en2 => en2,
      clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    en1 <= '1';
    en2 <= '1';
    din <= '0';
    pulse;
    assert dout = '0' severity failure;

    din <= '1';
    pulse;
    assert dout = '1' severity failure;

    en1 <= '0';
    din <= '0';
    pulse;
    assert dout = '1' severity failure;

    en1 <= '1';
    din <= '0';
    pulse;
    assert dout = '0' severity failure;

    wait;
  end process;
end behav;