aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/conv01/conv01.vhdl
blob: f4cd8bab9b76c6f842234e3ec49dfcc2ecdbe03e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity conv01 is
  port (a, b : in std_logic;
        z : out std_logic);
end conv01;

architecture behav of conv01 is
begin
  z <= not a and std_logic(b);
end behav;