aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp03/sub2.vhdl
blob: 9b579c43a5016977af7279139974369d5e4bf6ff (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity sub2 is
  generic
    (width : natural);
  port (p : std_logic_vector (width - 1 downto 0);
        o : out std_logic);
end;

architecture behav of sub2 is
begin
  o <= p (0);
end behav;