aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp03/comp04.vhdl
blob: 7663cf490311ecfcdce50cea7df975f5f59f58c8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity comp04 is
  port (p : std_logic_vector (6 downto 0);
        o : out std_logic);
end comp04;

architecture behav of comp04 is
begin
  inst: entity work.sub2
    generic map (width => 8)
    port map (p => p, o => o);
end behav;