aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp03/comp03.vhdl
blob: 6a8a23b4d353f8f5cbffb1aebddf32a5dc731cba (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity comp03 is
  port (p : std_logic_vector (6 downto 0);
        o : out std_logic);
end comp03;

architecture behav of comp03 is
begin
  inst: entity work.sub1
    port map (p => p, o => o);
end behav;