blob: be0a0c821bdf0989ea87757ec0605a3244e87d39 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
|
library ieee;
use ieee.std_logic_1164.all;
entity and3 is
port (a, b, c : std_logic;
o : out std_logic);
end and3;
architecture behav of and3 is
begin
o <= a and b and c;
end behav;
|