aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/case02/tb_case02.vhdl
blob: ae23a2276deaeb92254c3425bcea24e0c4962bf4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
entity tb_case02 is
end tb_case02;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_case02 is
  signal a : std_logic_vector (1 downto 0);
  signal o : std_logic;
begin
  dut: entity work.case02
    port map (a, o);

  process
  begin
    a <= "00";
    wait for 1 ns;
    assert o = '0';

    a <= "01";
    wait for 1 ns;
    assert o = '1';

    a <= "10";
    wait for 1 ns;
    assert o = '0';

    wait;
  end process;
end behav;