aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/block01/block01.vhdl
blob: 40dc1c6010eef3268b6055e59366c5346073516c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity block01 is
  port (q : out std_logic;
        d : std_logic;
        clk : std_logic);
end block01;

architecture behav of block01 is
begin
  b1 : block
  begin
    process (clk) is
    begin
      if rising_edge (clk) then
        q <= d;
      end if;
    end process;
  end block b1;
end behav;