aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/blackbox01/blackbox1.vhdl
blob: 01bef9ad86a5915b772c6995bd4991f298dfb1e2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity blackbox1 is
  port (a, b : in std_logic_vector(7 downto 0);
        r : out std_logic_vector(7 downto 0));
end blackbox1;

architecture behav of blackbox1 is
  component blackbox1_adder is
    port (a, b : in std_logic_vector(7 downto 0);
          r : out std_logic_vector(7 downto 0));
  end component;
  signal t : std_logic_vector(7 downto 0);
begin
  adder: blackbox1_adder
    port map (a, b, t);

  r <= t and x"ee";
end behav;