aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/attr02/tb_leftof01.vhdl
blob: b638b347b67a5bbb55cc97b4907678bd922e2ae7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity tb_leftof01 is
end tb_leftof01;

architecture behav of tb_leftof01 is
  signal i, o : integer := 9;
begin
  dut: entity work.leftof01
    port map (i, o);

  process
  begin
    i <= 5;
    wait for 1 ns;
    assert o = 4 severity failure;
    wait;
  end process;
end behav;