aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/attr02/succ01.vhdl
blob: 462b2539af39c21e96808d89249e4589ae5b62dd (plain)
1
2
3
4
5
6
7
8
9
entity succ01 is
  port (i : integer;
        o : out integer);
end succ01;

architecture behav of succ01 is
begin
  o <= integer'succ(i);
end behav;