aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/asgn01/tb_asgn02.vhdl
blob: 76382a71b7ff2101314a6840b891178039f3f2d3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity tb_asgn02 is
end tb_asgn02;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_asgn02 is
  signal s0 : std_logic;
  signal r  : std_logic_vector (2 downto 0);
begin
  dut: entity work.asgn02
    port map (s0 => s0, r => r);

  process
  begin
    s0 <= '1';
    wait for 1 ns;
    assert r = "010" severity failure;

    s0 <= '0';
    wait for 1 ns;
    assert r = "000" severity failure;

    wait;
  end process;
end behav;