aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/asgn01/asgn08.vhdl
blob: 925afbce18e90f70f57fd3dd4623f1ee2fefb582 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library ieee;
use ieee.std_logic_1164.all;

entity asgn08 is
  port (clk : std_logic;
        ce : std_logic;
        s0 : std_logic;
        r : out std_logic_vector (65 downto 0));
end asgn08;

architecture behav of asgn08 is
begin
  r (0) <= '1';

  process (clk) is
  begin
    if rising_edge(clk) and ce = '1' then
      if s0 = '1' then
        r (64 downto 1) <= x"ffff_eeee_dddd_cccc";
        r (65) <= '1';
      else
        r (8 downto 5) <= x"7";
        r (65) <= '0';
      end if;
    end if;
  end process;
end behav;