aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/asgn01/asgn01.vhdl
blob: 731aaa2071de950caf5d08fde94a996c4b7af9bb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity asgn01 is
  port (a : std_logic_vector (2 downto 0);
        s0 : std_logic;
        r : out std_logic_vector (2 downto 0));
end asgn01;

architecture behav of asgn01 is
begin
  process (a, s0) is
  begin
    if s0 = '1' then
      r <= "000";
    else
      r <= a;
    end if;
  end process;
end behav;