aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/arr01/tb_arr05.vhdl
blob: d9ab0d5dd1c6d000f745f649351499eb85e5fb03 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
entity tb_arr05 is
end tb_arr05;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_arr05 is
  signal clk : std_logic;
  signal val : std_logic_vector(7 downto 0);
  signal res : std_logic_vector(7 downto 0);
  signal par : std_logic;
begin
  dut: entity work.arr05
    port map (clk => clk, val => val, res => res, par => par);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    val <= x"a0";
    pulse;
    val <= x"71";
    pulse;
    val <= x"82";
    pulse;
    val <= x"23";
    pulse;
    val <= x"fe";
    pulse;
    assert res = x"a0" severity failure;

    val <= x"e4";
    pulse;
    assert res = x"71" severity failure;

    val <= x"c5";
    pulse;
    assert res = x"82" severity failure;

    val <= x"f6";
    pulse;
    assert res = x"23" severity failure;

    val <= x"57";
    pulse;
    assert res = x"fe" severity failure;

    wait;
  end process;
end behav;