aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/arr01/arr02.vhdl
blob: 13447c779b84604a7c02c24a397c5bd0b49e31dd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity arr02 is
  port (v : std_logic_vector(0 to 7);
        h : out std_logic_vector(0 to 3);
        l : out std_logic_vector(3 downto 0));
end arr02;

architecture behav of arr02 is
begin
  l <= v (4 to 7);
  h <= v (0 to 3);
end behav;