aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/arr01/arr01.vhdl
blob: be7d26e8083bdff3a9e351155a09484db99b105d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity arr01 is
  port (v : std_logic_vector(7 downto 0);
        h : out std_logic_vector(3 downto 0);
        l : out std_logic_vector(3 downto 0));
end arr01;

architecture behav of arr01 is
begin
  l <= v (3 downto 0);
  h <= v (7 downto 4);
end behav;