aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/anon01/tb_anon01.vhdl
blob: 88dc4393954633a3c98522c4d8870223ec558b9d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity tb_anon01 is
end tb_anon01;

architecture behav of tb_anon01 is
  signal i, o : bit_vector(6 downto 0);
begin
  dut: entity work.anon01
    port map (i, o);

  process
  begin
    i <= b"000_0000";
    wait for 1 ns;
    assert o = b"010_0101" severity failure;

    i <= b"111_1111";
    wait for 1 ns;
    assert o = b"101_1010" severity failure;

    wait;
  end process;
end behav;