aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/anon01/anon02.vhdl
blob: 5628d9afced641cf7155e7ad91f296b321a1c250 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity anon02_sub is
  port (i : bit_vector (7 downto 0);
        o : out bit_vector (7 downto 0));
end anon02_sub;

architecture behav of anon02_sub is
begin
  o <= i xor x"a5";
end behav;

entity anon02 is
  port (i : bit_vector (6 downto 0);
        o : out bit_vector (6 downto 0));
end anon02;

architecture behav of anon02 is
  signal res : bit_vector (7 downto 0);
begin
  dut: entity work.anon02_sub
    port map (i => '0' & i,
              o => res);
  o <= res (6 downto 0);
  gen: for i in 1 to 2 generate
    assert i < 3;
  end generate;
end behav;