aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/anon01/anon01.vhdl
blob: 892828073dbffec22930db245a52e1c03bf47b44 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity anon01_sub is
  port (i : bit_vector (7 downto 0);
        o : out bit_vector (7 downto 0));
end anon01_sub;

architecture behav of anon01_sub is
begin
  o <= i xor x"a5";
end behav;

entity anon01 is
  port (i : bit_vector (6 downto 0);
        o : out bit_vector (6 downto 0));
end anon01;

architecture behav of anon01 is
  signal res : bit_vector (7 downto 0);
begin
  dut: entity work.anon01_sub
    port map (i => '0' & i,
              o => res);
  o <= res (6 downto 0);
end behav;