blob: 3b545a9321b3134a9bcdb6412a10b1a7405ed76f (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
|
#! /bin/sh
. ../../testenv.sh
for t in targ01; do
analyze $t.vhdl tb_$t.vhdl
elab_simulate tb_$t
clean
synth $t.vhdl -e $t > syn_$t.vhdl
analyze syn_$t.vhdl tb_$t.vhdl
elab_simulate tb_$t
clean
done
echo "Test successful"
|