aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/aggr01/aggr01.vhdl
blob: 30c64c791ee625ca18e9157652825c811a7cc7c6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity aggr01 is
  port (a : std_logic_vector (7 downto 0);
        b : out std_logic_vector (7 downto 0));
end aggr01;

architecture behav of aggr01 is
  constant mask : std_logic_vector (7 downto 0) :=
    (0 => '1', others => '0');
begin
  b <= a and mask;
end behav;