aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/010ls28/top.vhdl
blob: d371cce2e91ad7655716ce27c6f759262184a00b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity top is
  port (
    clk : in std_logic;
    sum : out std_logic
  );
end entity;

architecture rtl of top is
begin

  adder : entity work.adder(comb)
  port map(
    a => clk,
    b => '1',
    o => sum,
    c => open
  );

end architecture;