aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/010ls28/adder.vhdl
blob: 2b4e6d8879d994cb74b83214f83c40ce39fb1b5b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
  library ieee;
  use ieee.std_logic_1164.all;
  
  entity adder is
    port(
      a : in std_logic;
      b : in std_logic;
      o : out std_logic;
      c : out std_logic
   );
  end entity;
  
  architecture comb of adder is
  
  begin
  
    o <= a xor b;
    c <= a and b;
  
 end;