aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/StopWatch/seg7_Display.cfg.vhdl
blob: 63d0c5e609d8fb1d5ac40a3af054bdbc614637aa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
-- Author:  Patrick Lehmann
-- License: MIT
--
-- A generic counter module used in the StopWatch example.
--
context work.StopWatch_ctx;


-- Encoder that translates from 4-bit binary (BCD) to 7-segment code.
configuration seg7_Display_cfg of seg7_Display is
	for rtl
		for enc : seg7_Encoder
			use entity work.seg7_Encoder(rtl);
		end for;
	end for;
end configuration;