aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/SimpleEntity.py
blob: b6db823811975166017ce294214784d059f3401a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
# =============================================================================
#               ____ _   _ ____  _          _
#  _ __  _   _ / ___| | | |  _ \| |      __| | ___  _ __ ___
# | '_ \| | | | |  _| |_| | | | | |     / _` |/ _ \| '_ ` _ \
# | |_) | |_| | |_| |  _  | |_| | |___ | (_| | (_) | | | | | |
# | .__/ \__, |\____|_| |_|____/|_____(_)__,_|\___/|_| |_| |_|
# |_|    |___/
# =============================================================================
# Authors:
#   Patrick Lehmann
#
# Testsuite:        Check libghdl IIR translation with a simple entity.
#
# License:
# ============================================================================
#  Copyright (C) 2019-2021 Tristan Gingold
#
#  This program is free software: you can redistribute it and/or modify
#  it under the terms of the GNU General Public License as published by
#  the Free Software Foundation, either version 2 of the License, or
#  (at your option) any later version.
#
#  This program is distributed in the hope that it will be useful,
#  but WITHOUT ANY WARRANTY; without even the implied warranty of
#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
#  GNU General Public License for more details.
#
#  You should have received a copy of the GNU General Public License
#  along with this program.  If not, see <gnu.org/licenses>.
#
# SPDX-License-Identifier: GPL-2.0-or-later
# ============================================================================
from pathlib  import Path
from unittest import TestCase

from pyGHDL.dom.NonStandard       import Design, Document


if __name__ == "__main__":
	print("ERROR: you called a testcase declaration file as an executable module.")
	print("Use: 'python -m unitest <testcase module>'")
	exit(1)


class SimpleEntity(TestCase):
	_root = Path(__file__).resolve().parent.parent
	_filename : Path = _root / "SimpleEntity.vhdl"

	def test_Design(self):
		design = Design()

		self.assertIsNotNone(design)

	# def test_Library(self):
	# 	library = Library()

	def test_Document(self):
		design = Design()
		document = Document(self._filename)
		design.Documents.append(document)

		self.assertTrue(len(design.Documents) == 1)

	def test_Entity(self):
		design = Design()
		document = Document(self._filename)
		design.Documents.append(document)

		self.assertEqual(len(design.Documents[0].Entities), 1)
		self.assertTrue(design.Documents[0].Entities[0].Name == "entity_1")

	def test_Architecture(self):
		design = Design()
		document = Document(self._filename)
		design.Documents.append(document)

		self.assertEqual(len(design.Documents[0].Architectures), 1)
		self.assertTrue(design.Documents[0].Architectures[0].Name == "behav")