aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/SimplePackage.vhdl
blob: 0571e7060c6769ccbd02995fa71ab3c7a45ad17e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use     ieee.std_logic_1164.all;
use     ieee.numeric_std.all;

package pack_1 is
	constant const_1 : boolean := false;

end package;

package body pack_1 is
	constant const_1 : boolean := true;

end package body;