aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/python/001units/demo.vhdl
blob: 4e40f7e94e1ef5de7c22bb8f9a2f9c3200e1a819 (plain)
1
2
3
4
5
6
7
entity e1 is
end e1;

architecture behav of e1 is
begin
  assert false report "arch" severity note;
end behav;