aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket90/test2.vhdl
blob: 44b8ec447e1721133d78422251e3a502b6bf5f29 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity test is
    generic (
      a, b : integer;
      c : natural);
begin
  assert (a = b) and ((b /= c) or not (a = c))
    report "a /= b" severity failure;
end entity;

architecture a of test is
begin
end architecture;