aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket81/repro2.vhdl
blob: 9874ac19d00416c228f18f0803d70e64b355ca94 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity repro2 is
end;

architecture behav of repro2 is
  function zeros (a, b : bit_vector) return bit_vector is
  begin
    if a'length = 1 then
      return "0";
    end if;
  end;
begin
end behav;